CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FFT IP CORE

搜索资源列表

  1. FFT变换的IP核的源代码 VHDL~

    0下载:
  2. FFT变换的IP核的源代码 VHDL~-FFT IP core of the source code for VHDL ~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:32421
    • 提供者:陈旭
  1. quartus 9.0 中FFT IP核的使用方法

    2下载:
  2. quartus 9.0 中FFT IP核的使用方法附带工程文件和用signaltapII抓到的波形,quartus 9.0 in FFT IP core attached to the use of engineering documents and the use of captured waveform signaltapII
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2012-08-24
    • 文件大小:13031984
    • 提供者:hewenlong
  1. fft_verilog.rar

    0下载:
  2. FFT IP core 源码 状态控制机,FFT IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7282
    • 提供者:chris
  1. Quartus_fft_ip_core.rar

    0下载:
  2. Quartus中fft ip core的使用(modelsim 仿真FFT ip core 结合QUARTUS II 联合调试),Fft ip core in Quartus use (modelsim simulation FFT ip core integration QUARTUS II Joint Commissioning)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:298606
    • 提供者:刘晓彬
  1. FFT_verilog

    3下载:
  2. verilog实现的FFT变换,经硬件测试其功能与Altera的FFT IP核相近-verilog implementation FFT transform, through hardware, test its functionality with Altera' s FFT IP core similar to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-21
    • 文件大小:618983
    • 提供者:culun
  1. fft

    1下载:
  2. 基于VHDL语言编写的FFT程序,256点,旋转因子存在自己编写的ROM里面,乘法器和数据存储采用的是IP核-FFT-based program written in VHDL, 256 points, there is rotation factor which I have written the ROM, multiplier, and data storage is used in IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6566
    • 提供者:胡佳
  1. studyFFTcore

    2下载:
  2. 调用FPGA的IP核实现FFT运算,在xilinx的vertex4sx55FPGA的实现-Call FPGA implementation of the IP core FFT computation, in the Xilinx implementation of the vertex4sx55FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1287614
    • 提供者:徐成发
  1. Quartus

    0下载:
  2. Quartus中fft ip core的使用.txt-Fft ip core in Quartus use. Txt
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:3054
    • 提供者:ziyaajlm
  1. fftip

    0下载:
  2. Quartus中fft ip core的使用-Quartus in the use of fft ip core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:449624
    • 提供者:mikecool
  1. FFT

    0下载:
  2. IP核!!高速傅立叶变换的VHDL源代码 可以综合-IP core! ! High-speed Fourier transform of the VHDL source code can be integrated!!
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:31305
    • 提供者:殷桃
  1. yy

    0下载:
  2. 使用XILINX公司提供的板子里面的FFT的IP核,很好用-XILINX board provided the use inside the FFT of the IP core, useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3941585
    • 提供者:zhangshan
  1. fftip

    1下载:
  2. 2008-2009年优秀硕士论文之:基于FPGA的高性能32位浮点FFT IP核的开发-Outstanding Master' s thesis 2008-2009: FPGA-based high-performance 32-bit floating-point FFT IP core development
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-10
    • 文件大小:19145804
    • 提供者:dawei
  1. fft_2011_3_23(COMPLETE-FFT1024)

    1下载:
  2. VERILOG FFT IP核调用,以及其控制文件-VERILOG FFT IP core call, as well as its control file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:20978688
    • 提供者:贾斌
  1. fft_ug

    1下载:
  2. altera的FFT IP核的用户手册,介绍了如何使用ALTERA IP核生成FFT核,如何设置参数并讲述了如何仿真,适用于通信方面的FPGA设计工程师,学生。-altera' s FFT IP core user manual describes how to use the ALTERA IP core generated FFT core, how to set parameters and describes how to simulate, for communications, FP
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-24
    • 文件大小:1035174
    • 提供者:zhangdong
  1. FFT

    1下载:
  2. verilog 实现FFT IP核的控制,借鉴给需要学习的朋友-verilog achieve FFT IP core control, reference to the need to learn a friend
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-09
    • 文件大小:12124160
    • 提供者:甘超
  1. FFT-module

    0下载:
  2. IP CORE :FFT模块使用方法,内含源代码,希望对大家有帮助。-IP CORE: FFT module use, including source code, we want to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1132273
    • 提供者:haby
  1. vhdl-fft-core

    0下载:
  2. FFT ip core,fft信号处理模块, VHDL语言编写-FFT ip core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:390197
    • 提供者:xionghailiang
  1. FFT-IPCORE

    0下载:
  2. QUARTUSII FFT的IP核,用VHDL实现。-QUARTUSII FFT IP core using VHDL implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8720782
    • 提供者:vanessa
  1. FFT-IP-CORE--of-Quartus

    0下载:
  2. Quartus中fft ip core的使用一点心得,希望对大家有所帮助。-The Quartus fft the ip core to use a little experience, we hope to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:313514
    • 提供者:lg
  1. fft-ip-core

    0下载:
  2. 通过调用ISE中的fft IPcore实现了fft计算,输入数据通过textio从文本文件读入,处理后的数据再读入文本中。由于数据精度问题,与MATLAB计算的结果存在一定的误差-By calling the ISE of FFT IPcore implements the FFT computation, the input data through textio read a text file, after processing the data to read the text aga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6157600
    • 提供者:
« 12 3 4 »
搜珍网 www.dssz.com